IEEE754浮点数的转换方法

您所在的位置:网站首页 ieee754 在线转换 IEEE754浮点数的转换方法

IEEE754浮点数的转换方法

2024-06-13 22:48| 来源: 网络整理| 查看: 265

IEEE754浮点数的转换方法

今天在处理Modbus协议的数据时,碰到IEEE754浮点数的转换,几经周折,终于找到了方法进行解决,现总结出IEEE754浮点数的转换方法。

 预备知识:

16进制浮点数的表示方法,根据IEEE的标准,分为32位和64位两种,参数分别如下:

   

 符号位

 指数位

 尾数位

 指数偏移量

 32位

1[31]

8[23-30]

23[0-22]

127

 64位

 1[63]

 11[52-62]

 52[0-51]

 1023

 

说明:

1位符号位(SIGN)8位指数位(EXPONENT)23位尾数位(MANTISSA)

其中,32位二进制数的排位为:[31][30][29]...[2][1][0]

16进制转换为10进制的公式如下:SGL = (-1)^SIGN * 1.MANTISSA * 2^(EXPONENT-127)

举例:

将0x40800000转换为10进制数,方法如下:

(1)先将16进制数转成二进制数01000000100000000000000000000000

(2)1位符号位(SIGN)=0

(3)8位指数位(EXPONENT)=10000001=129[10进制]

(4)23位尾数位(MANTISSA)=00000000000000000000000

(5)1.尾数=1.1 .00000000000000000000000=1.0000000[10进制]

(6)套入公式得SGL=(-1)^0*1.0000000*2^(129-127)=4

 

其它相关资源:

IEEE浮点数转换小程序(可将16进制浮点数转10进制,也可将10进制转16进制)

网页上的转换工具

同时,使用JAVA作开发的朋友,也可以通过方法:intBitsToFloat进行浮点数转换

 



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3